CoveredVerilog代码覆盖工具

0获赞0粉丝0关注
Covered 是一个Verilog代码覆盖工具,它读取的Verilog设计和生成的光碟/ LXT从设计转储文件并生成一个覆盖文件,可以合并的文件或其
加载更多