CoveredVerilog代码覆盖工具

0获赞0粉丝0关注
Covered 是一个Verilog代码覆盖工具,它读取的Verilog设计和生成的光碟/ LXT从设计转储文件并生成一个覆盖文件,可以合并的文件或其
简介
Covered是一个Verilog代码覆盖工具,它读取的Verilog设计和生成的光碟/LXT从设计转储文件并生成一个覆盖文件,可以合并的文件或其他保险用于创建报告的报道。涵盖还包含图形用户界面覆盖报告的效用,在一个覆盖读取文件以允许交互式报道发现。由测量范围涵盖领域包括:行,切换,内存,组合... 更多
其它信息
地区
不详
开发语言
C/C++
开源组织
所属分类
开发工具、 代码管理分析/审查/优化
授权协议
未知
操作系统
跨平台
收录时间
2023-10-02
软件类型
开源软件
适用人群
未知

时光轴

里程碑1
LOG0
2023
2023-10
轻识收录
操作人操作信息操作时间
评价
0.0(满分 10 分)0 个评分
什么是点评分
图片
表情
全部评价( 0)
推荐率 100%
推荐