veriwell开源的verilog仿真器

联合创作 · 2023-10-01 16:42

veriwell是一个开源的verilog仿真器,它实现了verilog 标准的IEEE 1364-1995.

浏览 3
点赞
评论
收藏
分享

手机扫一扫分享

编辑
举报
评论
图片
表情
推荐
点赞
评论
收藏
分享

手机扫一扫分享

编辑
举报